Welcome![Sign In][Sign Up]
Location:
Search - PS2 keyboard

Search list

[SCMMCU驱动PS2键盘

Description: 51内核单片机驱动PS2接口的实现程序,可以使单片机具有接插PS2接口设备(例如鼠标,键盘)的能力,大家可以借鉴一下!-51 PS2-core microcontroller interface procedures, will enable SCM is plugged PS2 interface devices (eg mouse, keyboard), we can learn some lessons from!
Platform: | Size: 33792 | Author: 张李忠 | Hits:

[SCMPS2keyboard

Description: 键盘驱动程序: 键盘型号:P/S2 此程序已经测试过。-keyboard : Keyboard Model : P/S2 this procedure has been tested.
Platform: | Size: 1024 | Author: 李瑞峰 | Hits:

[CSharpps200000

Description: ps2键盘以及液晶显示,区分大小写(shift)-ps2 keyboard and LCD, case-insensitive (shift)
Platform: | Size: 68608 | Author: 张继朋 | Hits:

[Embeded-SCM DevelopPS2jianpan

Description: ps2键盘的设计,包括原文件和生成的目标代码-ps2 keyboard design, including the original production of documents and object code
Platform: | Size: 13312 | Author: shajia | Hits:

[SCMPS2vkeybaord_1602LCD

Description: 使用1602液晶显示和PS2键盘的示例,单片机接收PS2键盘键号显示到LCD上-use LCD and keyboard PS2 example, SCM receive PS2 keyboard, display to the LCD
Platform: | Size: 25600 | Author: laf | Hits:

[SCM000PS2_key

Description: Ps/2鍵盘源代码,编译工具为Keil,用此程序自己可以做鍵盘了-Ps/2 keyboard source code, build tools for Keil, with this program they can do the keyboard
Platform: | Size: 4096 | Author: 沈昌逸 | Hits:

[Other Embeded programPS2_ARM

Description: 我写的ARM PS2驱动程序,使用普通GPIO口模拟PS2协议,可直接驱动键盘、条码找描枪等设备,并将从键盘、条码扫描枪等输入的信号通过UART口传至PC机-I write ARM PS2 driver, I use ordinary GPIO simulation PS2 agreement can be directly driven keyboard, bar code depiction find guns and other equipment, and from the keyboard, barcode scanning guns and other input signals through UART I conveyed to PC
Platform: | Size: 229376 | Author: 刘国兵 | Hits:

[SCMps2Protocal

Description: PS/2接口协议详解,其中对PS2键盘核鼠标的命令作了详细介绍,可以供广大想作单片机键盘鼠标接口的同志学习-PS/2 interface protocol Hi, PS2 keyboard to the mouse nuclear order, was introduced in detail. to be available to all for a keyboard and mouse interface MCU comrades learning. .
Platform: | Size: 617472 | Author: | Hits:

[VHDL-FPGA-Verilogps2_vhdl

Description: 利用vhdl实现FPGA芯片从PS2键盘读出数据(0-F) 并在数码管上显示 -use FPGA chip from the PS2 keyboard sensed data (0-F) and displayed on a digital control
Platform: | Size: 1024 | Author: 刘音 | Hits:

[SCMPS2_command

Description: PS/2键盘在51单片机上的应用,包括发送和接收命令,注释详细-PS/2 keyboard on the 51 microcontroller applications, including sending and receiving orders, detailed Notes
Platform: | Size: 39936 | Author: 陈新明 | Hits:

[Other Embeded programKeyboardPS2_44B0

Description: 标准PS2键盘源程序(S3C44B0X) ****** 没办法,要得到就先要付出,无奈之下共享此苦心编写的代码,绝对原创!绝对稳定!注:本程序是为uCGUI而编写的,占有44B0的UART1,采用第三套扫描码,最终和uCGUI的接口函数结合,程序有详细的解析。记得当时开发PS2键盘程序时,感觉网上资料太少或者不全,希望能带来帮助!-standard PS2 keyboard source (S3C44B0X)****** not do. to be paid on the first and desperation shared this painstakingly prepared by the code absolute originality! Absolutely! Note : This procedure is uCGUI prepared for the possession of 44 B0 UART1 using third scan code, uCGUI final and the interface functions with procedures detailed analysis. Remember that the procedures developed PS2 keyboard, feeling too little information on the Internet or incomplete, hope to bring help!
Platform: | Size: 6144 | Author: jackychen | Hits:

[SCMjianpan123456

Description: 单片机键盘控制程序,包含矩阵键盘,PS2键盘,独立按键,7279键盘控制等多个完整的程序,有用的下吧!-SCM keyboard control procedures, including matrix keyboard, PS2 keyboard, the independent button. 7279 keyboard complete control over the process, useful to the next!
Platform: | Size: 53248 | Author: 帅冲 | Hits:

[VHDL-FPGA-VerilogPS2keyboard_verilog

Description: 本实验利用PS2接口实现了与键盘通信,并将键盘的按键编码通过UART接口上传给PC的超级终端,通过超级终端来观察按键编码是否正确。 -experimental use of the PS2 interface with the keyboard communications, and keyboard buttons coding through UART interface to the PC upload the Super Terminal, Super Terminal through to observe the keys coding is correct.
Platform: | Size: 17408 | Author: dragon | Hits:

[Driver DevelopKEYBDforwinceps2

Description: CE下的PS2键盘驱动,可以接PS2键盘和鼠标基本上不需要怎么修改,可以直接使用-the PS2 keyboard-driven, accessible PS2 keyboard and mouse is basically no need to revise how, can be used directly
Platform: | Size: 77824 | Author: dillon | Hits:

[SCMS3C44B0xforPS2

Description: ARM7芯片S3C44B0x的PS2键盘的源程序-ARM7 chip S3C44B0X the PS2 keyboard source
Platform: | Size: 45056 | Author: 朱冀翔 | Hits:

[Disk Toolsreadps2

Description: 读取PS2键盘内容-PS2 keyboard to read the content
Platform: | Size: 1024 | Author: yt | Hits:

[Program docjianpan

Description: ps2键盘外部中断20键 有需要的 可以使用-external interrupt ps2 keyboard has 20 keys need to use
Platform: | Size: 2048 | Author: 蒋长波 | Hits:

[SCMPS2Key

Description: 单片机与PS2键盘相连的应用,可以分大小写输入,通过1602液晶将字符显示出来-SCM and PS2 keyboard connected applications, can be divided into upper and lower case input, through the 1602 LCD will be displayed characters
Platform: | Size: 2048 | Author: zhouding | Hits:

[Other Embeded programps2_keyboard

Description: 这是一个使用ps2 ip core的范例,读取键盘输入并显示-This is a used ps2 ip core example, to read keyboard input and display
Platform: | Size: 147456 | Author: HuFengzhang | Hits:

[VHDL-FPGA-Verilogopb_ps2_dual_ref_v1_00_a

Description: 基于Xilinx FPGA实现PS2键盘鼠标接口。版本1.0-Based on Xilinx FPGA realize PS2 keyboard and mouse interface. Version 1.0
Platform: | Size: 16384 | Author: 张力 | Hits:
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 ... 46 »

CodeBus www.codebus.net